CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - qpsk FPGA

搜索资源列表

  1. QPSK

    0下载:
  2. 该文介绍了各种信息技术中信息的传输及通信起着支撑作用,而对于信息的传输,数字通信 已成为重要手段。该文根据当今现代通信技术的发展,对QPSK信号的调制解调问题进行了分析, 并给出了用美国ELANIX公司的动态系统设计、仿真和分析软件System View 进行系统仿真的具体 设置,分析了仿真结果,并提出了用FPGA 技术实现这种系统的详细方法。
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:379605
    • 提供者:子羽
  1. QPSK

    1下载:
  2. 基于FPGA的QPSK调制解调的技术实现PDF论文.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:123029
    • 提供者:小红猪
  1. 基于FPGA的调制,实现了QPSK调制

    2下载:
  2. 基于FPGA的调制
  3. 所属分类:其它

  1. QPSKvhdl.rar

    0下载:
  2. QPSK的VHDL调制解调 FPGA设计思路思想,QPSK modulation and demodulation of the VHDL design thinking FPGA
  3. 所属分类:Compiler program

    • 发布日期:2017-04-09
    • 文件大小:1615721
    • 提供者:liming
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. Xilinx-FPGA-Matlab-Simulate

    0下载:
  2. Xilinx的FPGA 中的matlab simulink建模,内有几种调制方式,比如QPSK等-Xilinx
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-22
    • 文件大小:228998
    • 提供者:中山太乙
  1. QPSK

    0下载:
  2. 提出了一个采用(2,1,7)卷积码+QPSK的中频调制解调方案,并在Xilinx公司的100万 门FPGA芯片上实现了该系统。该系统在信噪比SNR为6dB左右时可实现速率超过1Mbit/s、误码率 小于10-5的数据传输。 -Proposed a use of (2,1,7) convolutional code+ QPSK modulation and demodulation of the IF program, and in Xilinx' s FPGA chip one
  3. 所属分类:Document

    • 发布日期:2017-03-31
    • 文件大小:62208
    • 提供者:张同星
  1. qpsk

    0下载:
  2. qpsk vhdl code ue to impelemented on fpga kits
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:6518
    • 提供者:ahmed
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the corr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:41259
    • 提供者:杨杨
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. ModDemodOQPSK

    0下载:
  2. This file is the matlab source code for offset QPSK (OQPSK) modulation and demodulation in wireless and mobile communications
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:729
    • 提供者:Abbas
  1. costas_carrier_recover

    1下载:
  2. 基于硬件定点的完整的costas载波恢复环设计,FPGA设计可以用之参考。包括输入QPSK信号,16倍符号率采样,初始频差2.4KHz,以及低通滤波器的设计等待。最重要的是有本人的注释,易于上手。-Hardware-based fixed-point of complete costas carrier recovery loop design, FPGA reference design can be used. Including input QPSK signal, 16 times th
  3. 所属分类:3G开发

    • 发布日期:2014-03-16
    • 文件大小:1737
    • 提供者:luoshuwen
  1. QPSK

    0下载:
  2. QPSK调制器的FPGA实现,提出了一种基于FPGA实现QPSK的方法-QPSK Modulator FPGA, presents a FPGA-based QPSK method of achieving
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:238369
    • 提供者:shyxp
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. QPSK

    0下载:
  2. 通过MATLAB对QPSK进行仿真,再在FPGA中实现QPSK的基本功能-By MATLAB simulation of QPSK, and then in the FPGA to implement the basic functions of QPSK
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:3825
    • 提供者:洪依
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. QPSK

    0下载:
  2. 用FPGA实现QPSK调制的4篇论文,对用FPGA开发QPSK很有帮助!-QPSK modulation with FPGA Implementation of 4 papers on the use of FPGA development QPSK helpful!
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:853244
    • 提供者:FPGA_VHDL
  1. QPSK调制解调器的设计及FPGA实现

    1下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:6838272
    • 提供者:muweng
  1. 中频采样QPSK解调的FPGA设计与实现_杨波

    0下载:
  2. 中频采样QPSK解调的FPGA设计与实现_杨波(Yang Bo _ FPGA design and implementation of intermediate frequency sampling QPSK demodulation)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:18432
    • 提供者:muweng
  1. 基于GMR-1系统下行链路的π_4-CQPSK解调方法

    1下载:
  2. pi4 qpsk的付费论文,讲述FPGA实现的,非常值得学习(Pi4 QPSK's paid papers will be very useful for FPGA.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-15
    • 文件大小:516096
    • 提供者:Haiton
« 12 3 »
搜珍网 www.dssz.com